Verilog / VHDL para códigos paralelo a serie y viceversa

A

andy1

Guest
¿Podría alguien darme un código de ejemplo para paralelismo de serie y serie a paralelo de conversión sin demora reloj?

 
¿Qué quiere decir por "sin demora" reloj???

Puede consultar los siguientes libros ..... en algún capítulo de serie a paralelo se discute.

Principios de diseño digital y prácticas de John F. Wakerly

 
Ver el código adjuntoShift Serial_to_parallel Registro
Shift Parallel_to_Serial Registro

 
¿me pueden ayudar con el mismo y dar el código en Verilog
sería muy agradecida de usted

 

Welcome to EDABoard.com

Sponsor

Back
Top